Episodes
This episode features the winners of this year’s 3D InCites Awards. These included five technology enablement awards, one sustainability award, the Adele Hars Award for DEI, and an award for Best Place to Work.  Nils Anspach, of LPKF & Laser Electronics, explains the company’s laser-based deep etching technology for glass substrates in heterogeneous integration, and how it solves the challenges of forming interconnects through glass substrates.  Dave Taraci, of Carl Zeiss Microscopy,...
Published 04/18/24
Published 04/18/24
This episode was recorded live at the IMAPS Device Packaging Conference – helping celebrate the event’s 20th year. The record turnout included many of our 3D InCites Community members. Françoise von Trapp spoke with several of them who were exhibiting and presenting, and in some cases, simply attending.   Alex Ospina of ACM Research discussed the latest technologies in wafer-level packaging, and the company’s focus on developing novel IP technologies to address industry challenges. You’ll...
Published 04/11/24
This episode was recorded live at IMAPS DPC, where the Spring version of the Global Business Council focused on Geopolitics Fueling the Repatriation of the Semiconductor Ecosystem. To get the complete picture of the collaboration happening, Françoise von Trapp speaks with representatives of the government, industry, and academia.   Dan Berger,  National Advanced Packaging Manufacturing Program (NAPMP), explains how CHIPS Acts monies are being used to address gaps like advanced packaging and...
Published 04/04/24
This episode was recorded live at the IMAPS Device Packaging Conference in Fountain Hill AZ, where several of the keynote talks focused on chiplet architectures and heterogeneous integration for semiconductor device manufacturing, assembly, test, and packaging.   Françoise von Trapp talks with Arvind Kumar, of 3D InCites member company,  IBM and Hemanth Dhavaleswarapu of AMD, about chiplet application drivers, such as artificial intelligence. She then talks to Pooya Tadeyon, of Intel, to find...
Published 03/28/24
In this episode, recorded live at SEMI ISS Europe, Françoise von Trapp hands over the mic to SEMI Europe President, Laith Altimime, who leads a discussion on the European chip industry’s growth and challenges, and whether the European Chips Act will strengthen Europe towards its 20% vision goal.   Panelists include:  Manfred Horstmann, GM and SVP GlobalFoundries DresdenThomas Richter, Senior Vice President & Managing Director, Infineon Technologies DresdenJuergen Schmidt, VP Semiconductor...
Published 03/21/24
This week’s episode was recorded at ISS Europe, in Vienna, where the European semiconductor industries key strategists gathered to plan the path forward and forge a sustainable path to securing 20% of the global semiconductor market. One of the main topics continues to be building a resilient semiconductor supply chain.  In the first segment of the episode, Françoise speaks with Sandrine Bronner, VP of supply chain, in the semiconductor division of Edwards Vacuum. They discuss her approach to...
Published 03/14/24
In honor of International Women’s Day (IWD 2024), 3D InCites partnered with SEMI ISS to bring you this episode on how companies are fostering and implementing DEIB and allyship into their corporate culture.  Françoise von Trapp speaks with Nigel Wenden, CEO of WGNSTAR, Laura Matz, CTO of Merck KGAA Darmstadt Germany; and Mike Rosa, CMO, Onto Innovation.  They discuss their respective company’s success stories with DEIB and their efforts to foster allyship in the workplace.  You’ll learn about...
Published 03/07/24
The International Microelectronics and Packaging Society’s Device Packaging Conference (IMAPS DPC) celebrates its 20th year this year. The conference takes place March 19-21, in Fountain Hills, AZ.   In this episode, Françoise von Trapp speaks with Scott Hayes, General Chair, IMAPS DPC, and Amy Lujan, General Chair-Elect of IMAPS DPC about the history of this event, and what sets it apart from IMAPS annual Symposium.  This year’s event will focus on heterogeneous integration and growth...
Published 02/15/24
In this episode, Françoise von Trapp and Jean Christophe Eloy of Yole Group, discuss the future of advanced packaging and chiplets in the semiconductor industry, and how these technologies will revolutionize the industry.   Get ready for a deep dive into a technology discussion. From Jean-Christophe, you’ll learn how chiplets differ from multichip modules (MCM) and systems-in-package (SiP). He highlights the benefits of chiplets, including optimizing different nodes for specific functions....
Published 02/08/24
Françoise von Trapp and  SEMI Europe's Laithe Altimime discuss the status of the European Chips Act, which aims to double Europe's market share in global manufacturing by 2030 and increase chip production by 20%. The discussion focuses on European industry growth and resilience, and the importance of collaboration. They also discuss  ISS Europe which takes place in Vienna, March 6-8, 2024, and how its content differs from SEMI ISS.  This year's theme will focus on the microelectronics...
Published 02/01/24
At SEMI ISS, Paul Triolo, Albright Stonebridge Group (ASG) delivered a presentation on the geopolitical headwinds impacting the semiconductor industry in 2024. He explains challenges of industrial policies, export controls, and supply chain perturbations.   Triolo is Senior Vice President for China and Technology Policy Lead at ASG, where he is also an Associate Partner. He advises clients in technology, financial services, and other sectors as they navigate complex political and regulatory...
Published 01/25/24
For the first few episodes of Season 4 of the 3D InCites Podcast, Françoise von Trapp headed to the SEMI Industry Strategy Symposium, (ISS) where semiconductor industry executives gather to gain and share insight on where the semiconductor industry is headed, and how they can work together to get there.  Economic trends, industry markets, and growth drivers are a critical part of the discussion, so in this first episode, Francoise speaks with members of SEMI’s Market Intelligence team (MIT),...
Published 01/18/24
Once again, Cassandra Melvin, SEMI Europe, takes over the mike from Françoise von Trapp to lead a panel discussion on the Future of Work in the semiconductor industry. We join the panel discussion in progress, as it was part of a session on the Future of Work that took place in Munich during SEMICON Europa.   You’ll hear from Flemming Kehr, the Global Practice Lead, Sustainability, for Mercuri Urval, Christine Pelissier, General Manager of Customer Center EMEA at Edwards, and Emma Derby,...
Published 12/21/23
In this episode, which was recorded live at SEMICON Europa 2023, Françoise hands over the mike to Cassandra Melvin, Senior Director of Business Development and Operations at SEMI. She led the session during the Fab Manager Forum on How To Cultivate the Workforce of Tomorrow and moderated a panel discussion on Achieving EU Ambitions Through Successful Recruitment and Retention. It highlights the instrumental role of universities and governments in supporting the industry's growth. Panelists...
Published 12/14/23
In this episode, Françoise von Trapp hands over the mike to imec’s Katrien Marent, who hosted imec’s ITF Towards NetZero at SEMICON Europa. She introduces a panel discussion on Collaborative Strategies and Practical Solutions Toward a More Sustainable Semiconductors Future.   The panel kicks off by polling the audience on what they think are the most pressing issues facing the semiconductor industry as it endeavors to reduce its carbon footprint while simultaneously growing to meet the...
Published 12/07/23
This episode features conversations with 3D InCites members who attended or exhibited at SEMICON Europa 2023, which took place in Munich, Germany from November 14-17, 2023.  The topic of the week was Shaping a Sustainable $1 Trillion Era. Members weigh in on what their companies are doing to support this effort. They also discuss their impression of the show, what they were showcasing, and their latest news.  Peter Dijkstra, Trymax Semiconductor, updates us on activities at Trymax USA and...
Published 11/30/23
This episode was recorded live from Munich, as the official podcast of SEMICON Europa. The theme of this year’s event is Shaping a Sustainable $1 Trillion Era. Françoise von Trapp talks with some of the keynote speakers about the roles their companies play in achieving this goal. talking with some of the Keynote speakers about the roles their company plays in this task.  From Paul de Bot of TSMC Europe, you’ll learn about the company’s R&D investment in continued CMOS scaling and 3D...
Published 11/23/23
In this episode, Françoise von Trapp talks with AT&S’s Markus Leitgeb and Tony Gueli, about the complex world of IC substrates for advanced microelectronics. The conversation focuses on technical and commercial challenges, and how they can be overcome when you work with the right substrate partners. You’ll learn about the driving applications for advanced IC substrates, including data storage, data speed, and the automotive industry. You’ll hear about the challenges of keeping up with...
Published 11/16/23
This Member Spotlight episode was recorded live at the IMAPS International Symposium. Françoise von Trapp speaks with community members who attended and exhibited about what they were showcasing, and what they learned.   John Lannon and Rex Anderson, Micross Components, helped demystify the multiple government funding efforts to onshore advanced packaging. Casey Krawiec of StratEdge Corporation talks about the company’s role in delivering packaging technology for high-frequency...
Published 11/02/23
In this panel discussion, recorded live at the International Microelectronics and Packaging Society International Symposium, Françoise hands over the mike to Dan Krueger, Honeywell, as he moderates a panel on Diversity in Packaging, Past, Present, and Future. Don’t miss this lively town hall discussion on many important topics in today’s challenging work environment, including the important differences between equity and equality in the workplace.  You’ll hear representatives of different...
Published 10/26/23
In a time when unprecedented industry growth is expected, the microelectronics industry is in the midst of a workforce shortage. To address this, the International Microelectronics and Packaging Society – IMAPS – is increasing its efforts to raise awareness about this rewarding industry through partnerships with universities and high schools.   Simultaneously, companies that serve the microelectronics industry are ramping up internship programs and coming up with new approaches to recruitment...
Published 10/19/23
This episode was recorded live at the 2023 IMAPS International Symposium, where the week’s keynote talks focused on different aspects of heterogeneous integration, packaging technology for high-performance computing, and what’s driving these technologies. Françoise von Trapp speaks with three of the keynote speakers who addressed attendees including Kevin Anderson, of Qorvo; Jeffrey Burns, of IBM Research; and C.P. Hung of ASE Group.  From Kevin Anderson, you’ll hear about Qorvo’s involvement...
Published 10/12/23
SEMICON Europa 2023 is only six weeks away, and the 3D InCites podcast will once again be the Official Podcast Partner. There is an exciting lineup of topics and top-notch speakers, all focused on the main theme of shaping a sustainable $1T Era. And for the second time, following the great success of last year's premiere, the Belgian research technology organization, imec, is hosting its International Technology Forum (known as ITF) on the SEMICON Europa show floor.  In this episode,...
Published 10/05/23
As autonomous vehicles take to the roads, the jury is still out on the readiness of these vehicles in terms of safety. Technologies being used are still in nascent stages, and there is still work to be done before these vehicles can be operated in driverless mode.    In this episode, Françoise von Trapp sits down with Gulroz Singh, a renowned thought leader and expert in the automotive industry, specializing in the areas of autonomous driving safety, automotive functional safety, and...
Published 09/28/23