Episodes
We've made changes to the 3D InCites Awards Program and the 2024 Yearbook! This recording of the recent 3D InCites 411 explains everything you need to know to participate in these programs. In this information session and Q&A you'll learn about: đź’ˇ The new 3D InCites Awards Format and Nomination Process đź’ˇThe 2024 Yearbook Opportunities and Schedules đź’ˇNew Offerings for 2024 including Webinars and Visits from the Queen Find more information on Sponsorship, Advertising, and new...
Published 09/21/23
You are now listening to the 100th Episode of the 3D InCites Podcast! To celebrate, Françoise sits down with Erica Folk and Tarak Railkar, both representatives of the International Microelectronics and Packaging Society – also known as IMAPS. 3D InCites is the official Industry Partner of IMAPS and the Official podcast of the IMAPS Symposium.   In this episode, you’ll get a look behind the scenes of this largely volunteer-led organization, and the opportunities the Society provides its...
Published 09/14/23
The semiconductor industry is in an interesting position – on one side, the demand is there for it to become a $1T industry sometime in the next 7-10 years. But headwinds – including a current downturn, has many companies putting the brakes on spending. In this episode, Françoise von Trapp talks with Joe Cestari and Martijn Pierik, of Kiterocket, about why companies should think twice about cutting their marketing budget and what they can do to move up in a downturn.  You’ll learn about the...
Published 09/07/23
This episode of the 3D InCites podcast was recorded live at SEMICON West. Françoise von Trapp speaks with Alex Wei and Omar Ma about the memory challenges facing the semiconductor industry, as AI and machine learning create a need for more advanced Flash Memory and DRAM.  As memory specialists who recently joined the UCIe Consortium, Winbond is helping to address integrating memory in chiplet architectures.   Listen in to learn about the difference between code storage and data storage, and...
Published 08/31/23
 This week’s episode dives deep into the world of X-ray inspection and its many uses in today’s high-density 3D heterogeneous integration technologies for semiconductor manufacturing. Françoise von Trapp speaks with subject matter expert, Chris Rand, of Nordson Test and Inspection.   You’ll learn the basics – how X-ray inspection is used in semiconductor manufacturing and how that has changed over the years. The challenges facing manufacturers as heterogeneous integration schemes become more...
Published 08/24/23
This episode was recorded live at SEMICON West 2023, where one of the main topics of conversation was the importance of creating a collaborative supply chain. Françoise von Trapp speaks with Bruce Kim, CEO of SurplusGLOBAL, and team members Danny Kim and Rose Lee, about the critical role secondary equipment and parts play in this ecosystem.    You’ll learn about the status of the current global semiconductor legacy equipment and parts market and how it is being impacted by the current strain...
Published 08/17/23
This episode was recorded live at IMAPS CHIPCon, where experts in heterogeneous integration and chiplet-enabled advanced packaging schemes gathered to address some of the semiconductor manufacturing industries most pressing technical and industry-related challenges.  Françoise von Trapp speaks with Scott Sikorski, of IBM who demystifies the complexities of the CHIPS Act, and the opportunities available for companies hoping to participate in R&D for advanced packaging. He also discusses...
Published 08/10/23
Françoise von Trapp speaks with 3D InCites Member companies who exhibited and or attended SEMICON West 2023.  She posed one main question, based on the show’s three key topic areas. What role do they play in helping the semiconductor industry succeed in becoming a $1T industry by 2030, how are they addressing the path to Net Zero, and how are they being impacted by the talent shortage? Franziska Petersen, Edwards talks about the company’s role in the Semiconductor Climate Consortium. Alan...
Published 08/03/23
Françoise von Trapp talks to SEMI President and CEO Ajit Manocha, about the semiconductor industry’s journey on the path to becoming a $1 Trillion and what SEMI is doing to address the headwinds that could prevent that from happening.  The semiconductor industry is growing at an amazing rate as demand for computer chips increases in everything from traditional applications like high-performance computing, data centers, and network architectures to automotive, artificial intelligence, and...
Published 07/31/23
At SEMICON West, one of the key areas of discussion was the Path to Net Zero. What can we do to make sure the semiconductor industry grows in a way that is beneficial to the planet and shows cross-industry leadership?  Françoise von Trapp talks to SEMI’s Dr. Mousumi Bhat, VP of Global Sustainability Programs, and Collin O’Mara, CEO of the National Wildlife Federation about key takeaways from the sessions at the Climate Equity & Social Impact Pavilion at SEMICON West. The program brought...
Published 07/27/23
In this episode, Françoise von Trapp talks with SEMI’s Bettina Weiss, and Bindiya Vakil, of Resilinc about how to navigate the complexities of the semiconductor supply chain.  The electronics industry continues to be in a state of flux. After two years of shortages, delays, and skyrocketing costs, the causes of supply chain disruptions keep changing rapidly. There is no single cause. Economic uncertainty, legislation, geopolitics, sustainability, and regionalization are all at play. Supply...
Published 07/20/23
For this week’s episode, we’re taking you to SEMI Europe’s 3D and System Summit, which took place last month in Dresden Germany. If you didn’t get to attend, you’re in luck, because in this episode,  Françoise hands over the microphone to Jan Vardaman, who moderated a panel Panel Discussion titled: Customer-Supplier Partnering to Overcome Challenges in 3D.  Industry experts Raja Swaminathan, of AMD; Andre Blum, of Audi; and Eric Beyne, imec lend their voices to the conversation.  The panel...
Published 07/13/23
Françoise von Trapp interviews Dave Thomas, of the SPTS Division at KLA, to talk about the evolution of wafer-level packaging, what’s been driving the market to adopt more advanced processes over the past 20 years, and the role KLA and specifically the SPTS division has played in bringing these technologies to commercialization.  The specific technology focus is on plasma dicing, its uses, particularly in die-to-wafer hybrid bonding, and the path to adoption. Thomas addresses in detail some...
Published 07/06/23
In this special episode of the 3D InCites Podcast, in partnership with the International Microelectronics and Packaging Society (IMAPS), we talk to Steve Kummerl,TI; and Mark Gerber, ASE, about the upcoming CHIPcon event which takes place July 24-27 in San Jose CA. This conference is a rebrand and restructuring of what was formerly the Advanced System in Packaging Symposium. Kummerl is the General Chair for the event, and Gerber is on the IMAPS Academic Committee. They are part of the team...
Published 06/30/23
This episode of the 3D InCites podcast is brought to you by our sustaining members. Shout out to our first official sustaining members Lori McDonald of Deca, and Brian Schieman, of IMAPS. Thanks for helping us continue to create great content!   At ECTC 2023, which took place earlier this month, the password was hybrid bonding. In this episode, you’ll hear from our member companies that specialize in hybrid bonding solutions: Adeia, EV Group, and Onto Innovation. You’ll also hear about a...
Published 06/29/23
In this SEMICON West podcast preview episode, Françoise talks with SkyWater’s CEO Tom Sonderman, who will deliver a keynote talk, “Creating a Path to Talent”. addressing the workforce shortage currently facing the semiconductor industry. Semiconductor manufacturing is on a path to becoming a $1Trillion industry somewhere around 2030. New fabs are being constructed all over the world to meet the growing demand for computer chips. In the US, the CHIPS and Science Act legislation and the promise...
Published 06/22/23
The 3D InCites community had 24 of its members who were either presenting, exhibiting, or both. This episode features conversations Françoise had with many of the participating members about the key takeaways they got from the event, as it relates to their company’s technology goals.  Rozalia Beica and Venkata Mokkapati, AT&S talk about the important role advanced IC substrates have in today’s advanced microelectronics. They addressed questions about the lack of IC substrate manufacturing...
Published 06/15/23
In this episode, recorded live at ECTC 2023, Françoise interviews some of the key speakers about the hot topics of the week: Quantum computing, the Chips and Science Act, and workforce development. Additionally, she speaks with the winner of the first-ever, IEEE EPS William Chen Distinguished Service Award. The episode concludes with a conversation with the event organizers about changes and future plans.  Keynote speaker, Mike Manfra, physics professor at Purdue University, and Scientific...
Published 06/08/23
We are just about 5 weeks away from the biggest event of the year for the semiconductor industry – SEMICON West, which takes place July 11-13 at the Moscone Center in San Francisco.  This annual event is the flagship of SEMI. The days are packed with conference sessions, the show floor showcases the latest and greatest technologies, the special pavilions are humming with activity, and the networking receptions and satellite parties offer great opportunities for networking.  In this episode,...
Published 06/01/23
 Continuing our focus on sustainable semiconductor manufacturing, in this episode Françoise speaks with Cédric Rolin, project manager at imec, about its Sustainable Semiconductor Technologies & Systems (SSTS) research program. Launched by imec in 2021, the SSTS rallies stakeholders from across the semiconductor industry to help reduce the ecological impact of the IC value chain.   In response to mounting concerns about climate change, tech companies around the world are accelerating...
Published 05/25/23
In this fourth episode of the SEMI MIT podcast series, you’ll learn about the semiconductor device design market, the latest SEMI Electronic Design Market Data (EDMD) report, and the Electronic System Design Alliance (ESDA) whose members contribute to it. Speakers include:  ·       Paul Cohen, Senior Program Manager of ESDA ·       Bob Smith, Executive Director of ESDA ·       David Ghodsizadeh, Director of Product Marketing for the SEMI Market Intelligence Team The group discusses the report...
Published 05/11/23
In the first two episodes of this series, we heard from startup finalists who participated in last year’s program about their sustainability solutions, their experience with the program – including making strong industry connections and some securing funding, and advice for startups participating this year.   In this third and final episode of the SEMI Startups for Semiconductor Sustainability series, you’ll hear about the journey ahead for the semifinalists of this year’s Startups for...
Published 05/04/23
In this episode, recorded at IMAPS DPC 2023, Françoise von Trapp talks with Indium’s Andy Mackie, about his latest mission to address the confusing and complex lexicon of Advanced Packaging technology.  He shares the backstory on the idea, he has come up with to change the way we talk about semiconductor device packaging, that will uplevel the importance of what is really interconnect technology.  Mackie’s position, and that of other colleagues in the industry, is that the term "packaging",...
Published 04/27/23
In this special episode of the 3D InCites Podcast, we bring you, in its entirety, a panel discussion that took place earlier this year at SEMI ISS Europe. The theme: Closing the Talent Gap and Cultivating the Workforce of Tomorrow. The multigenerational panel offers different perspectives and multiple topics, such as what they look for in a company, the importance of workplace culture, and what the semiconductor industry needs to do to improve its image to recruit more people. We join the...
Published 04/25/23
At IMAPS DPC, Françoise von Trapp moderated a town hall discussion, DEI: It Takes a Village. The target audience was not HR or hiring managers, but the regular attendees who may be wondering what they can do to help create an atmosphere of equity and inclusion at their workplace. We asked the hard questions that people might be asking: Why should I care? Why do I need to use my pronouns? What can I do/say to have an impact on our company culture?   Panelists:  Francesca Domingo, EMD Group |...
Published 04/20/23